原創(chuàng) 要聞 證券 基金 保險 銀行 上市公司 IPO 科創(chuàng)板 汽車 新三板 科技 房地產(chǎn)

英特爾改變芯片命名方式,計劃2024年進入埃米時代


中國產(chǎn)業(yè)經(jīng)濟信息網(wǎng)   時間:2021-07-28





  7月27日,英特爾對外公布了最新的制程工藝和封裝技術(shù)路線圖,并且還公開了新的工藝節(jié)點命名——不再以晶體管尺寸命名——將用“Intel 7”指代芯片。

  此外,英特爾的芯片代工業(yè)務(wù)(IFS)也在穩(wěn)步推進中,收獲了高通和AWS(亞馬遜云)兩大客戶。

  全新的工藝節(jié)點命名體系

  英特爾稱,基于原有的FinFET晶體管架構(gòu),接下來的工藝節(jié)點將被命名為Intel 7、Intel 4、Intel 3。

  其中,Intel 7與Intel 10納米SuperFin相比,每瓦性能將提升約10%-15%。2021年即將推出的Alder Lake客戶端產(chǎn)品將會采Intel 7工藝,之后是面向數(shù)據(jù)中心的 Sapphire Rapids預(yù)計將于 2022 年第一季度投產(chǎn)。

  Intel 4完全采用EUV(極紫外)光刻技術(shù),可使用超短波長的光,刻印極微小的圖樣。憑借每瓦性能約20%的提升以及芯片面積的改進,Intel 4將在2022年下半年投產(chǎn),并于2023年出貨。

  Intel 3憑借FinFET的進一步優(yōu)化和在更多工序中增加對EUV使用,較之Intel 4將在每瓦性能上實現(xiàn)約18%的提升,在芯片面積上也會有額外改進。Intel 3將于2023年下半年開始用于相關(guān)產(chǎn)品生產(chǎn)。

  之前,英特爾在先進制程的推進上不如競爭對手臺積電積極。臺積電的工藝已經(jīng)推進到3納米,同樣采用FinFET架構(gòu)。

  不過,英特爾一直認為自己的工藝密度更高,不好與競爭對手的產(chǎn)品直接對比。

  業(yè)界對芯片工藝節(jié)點的命名主要以采用晶體管實際的柵極長度相對應(yīng),比如40納米、28納米、10納米。但近年,工藝節(jié)點命名則不完全以此為準(zhǔn),也出現(xiàn)了不同廠商同為“7納米”但實際工藝不同的說法。

  英特爾則表示,從1997年開始,基于納米的傳統(tǒng)制程節(jié)點命名方法,不再與晶體管實際的柵極長度相對應(yīng)。英特爾稱,新的命名體系能幫助客戶對整個行業(yè)的制程節(jié)點演進建立一個更準(zhǔn)確的認知。

  英國《金融時報》援引分析師的話稱,雖然臺積電還將其最先進的芯片稱為5納米,但就芯片制造技術(shù)而言,其他方面重要性日益突出,用晶體管尺寸命名已經(jīng)沒有多少意義。

  2024年進入埃米時代

  當(dāng)天,英特爾還公布了近十多年來推出的首個全新晶體管架構(gòu)RibbonFET,以及業(yè)界首個背面電能傳輸網(wǎng)絡(luò)PowerVia。

  英特爾稱,采用RibbonFET架構(gòu)和PowerVia技術(shù)的工藝將被命名為Intel 20A和Intel 18A,從此公司將開起埃米時代。

  英特爾介紹,RibbonFET是英特爾對Gate All Around晶體管的實現(xiàn),它將成為公司自2011年率先推出FinFET以來的首個全新晶體管架構(gòu)。該技術(shù)加快了晶體管開關(guān)速度,同時實現(xiàn)與多鰭結(jié)構(gòu)相同的驅(qū)動電流,但占用的空間更小。PowerVia是英特爾獨有的、業(yè)界首個背面電能傳輸網(wǎng)絡(luò),通過消除晶圓正面供電布線需求來優(yōu)化信號傳輸。

  其中,Intel 20A預(yù)計將在2024年推出。高通公司將在Intel 20A制程工藝技術(shù)上與英特爾合作。

  英特爾稱,從Intel 20A更進一步的Intel 18A節(jié)點也已在研發(fā)中,將于2025年初推出,它將對RibbonFET進行改進,在晶體管性能上實現(xiàn)又一次重大飛躍。

  英特爾CEO基辛格表示,從2021年至2025年,每年至少將推出一款新CPU,并希望到2025年實現(xiàn)工藝性能領(lǐng)先。

  《華爾街日報》指出,在經(jīng)歷了一連串決策失誤和生產(chǎn)延誤后,英特爾已經(jīng)落后于亞洲競爭對手。臺積電和三星的芯片工藝均領(lǐng)先于英特爾,兩家公司為芯片設(shè)計公司代工生產(chǎn),幫助客戶在與英特爾的競爭中占據(jù)優(yōu)勢。

  此外,英特爾還致力于定義、構(gòu)建和部署下一代High-NA EUV,有望率先獲得業(yè)界第一臺High-NA EUV光刻機。英特爾正與ASML密切合作,確保這一行業(yè)突破性技術(shù)取得成功,超越當(dāng)前一代EUV。

  代工業(yè)務(wù)收獲首批客戶

  早前,英特爾宣布將推出代工服務(wù)(IFS)。這次英特爾對外公布代工業(yè)務(wù)收獲首批兩大客戶高通和AWS。

  英特爾CEO基辛格說:“業(yè)界對英特爾代工服務(wù)有強烈的興趣,今天我很高興我們宣布了首次合作的兩位重要客戶。英特爾代工服務(wù)已揚帆起航!”

  AWS則是英特爾的封測業(yè)務(wù)的客戶。英特爾宣布,AWS將成為首個使用英特爾代工服務(wù)(IFS)封裝解決方案的客戶。

  英特爾稱,隨著全新IDM2.0戰(zhàn)略的實施,封裝對于實現(xiàn)摩爾定律的益處變得更加重要。

  英特爾也公布了自己的封裝技術(shù)路線圖。從最早首個2.5D嵌入式橋接解決方案出貨EMIB產(chǎn)品,到Foveros利用晶圓級封裝能力,提供首個3D堆疊解決方案,到下一代Foveros Omni提供高性能3D堆疊技術(shù)以及最新的Foveros Direct實現(xiàn)了向直接銅對銅鍵合的轉(zhuǎn)變,使得晶圓制程到封裝之間的界限不那么截然,使得堆疊的密度提高一個數(shù)量級。

  英特爾稱,這些突破性的技術(shù)將主要在英特爾俄勒岡州和亞利桑那州的工廠開發(fā)。(記者:周玲)



  轉(zhuǎn)自:澎湃新聞

  【版權(quán)及免責(zé)聲明】凡本網(wǎng)所屬版權(quán)作品,轉(zhuǎn)載時須獲得授權(quán)并注明來源“中國產(chǎn)業(yè)經(jīng)濟信息網(wǎng)”,違者本網(wǎng)將保留追究其相關(guān)法律責(zé)任的權(quán)力。凡轉(zhuǎn)載文章及企業(yè)宣傳資訊,僅代表作者個人觀點,不代表本網(wǎng)觀點和立場。版權(quán)事宜請聯(lián)系:010-65363056。

延伸閱讀

熱點視頻

“十三五”期間我國高技術(shù)制造業(yè)增長迅猛 “十三五”期間我國高技術(shù)制造業(yè)增長迅猛

熱點新聞

熱點輿情

?

微信公眾號

版權(quán)所有:中國產(chǎn)業(yè)經(jīng)濟信息網(wǎng)京ICP備11041399號-2京公網(wǎng)安備11010502035964